首页 » 技术SOS » EDA与制造 » 请教关于FPGA的VGA显示问题?

请教关于FPGA的VGA显示问题?

菜鸟
2010-08-30 09:37:29
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity color is port( clk : in std_logic; md : in std_logic;--模式选择 hs,vs,r,g,b : out std_logic); end color; architecture behav of color is signal hs1,vs1,fclk,cclk : std_logic; signal mmd : std_logic_vector(1 downto 0); signal fs : std_logic_vector(3 downto 0); signal cc : std_logic_vector(4 downto 0); --行同步/横彩条生成 signal ll : std_logic_vector(8 downto 0); --场同步/竖彩条生成 signal grbx : std_logic_vector(3 downto 1); --X横彩条 signal grby : std_logic_vector(3 downto 1); --Y竖彩条 signal grbp : std_logic_vector(3 downto 1); --输出彩条 signal grb : std_logic_vector(3 downto 1); begin grb(1)23) then --62.5K hs1479) then --97.65625HZ vs1
分享
关键词: VGA, FPGA  
高工
2010-08-31 11:21:11
1楼

你的问题是什么?

菜鸟
2010-11-03 12:12:07
2楼

你的问题是什么?

助工
2010-12-25 14:26:55
3楼

??????

高工
2022-12-09 12:55:39
4楼

你的问题是什么

高工
2022-12-09 12:58:01
5楼

??????

高工
2022-12-09 13:01:56
6楼

你的问题是什么

专家
2022-12-09 13:12:36
7楼

你的问题是什么?

专家
2023-07-18 22:46:27
8楼

不知道问题是什么啊