首页 » 技术SOS » 嵌入式系统 » MCU和嵌入式微处理器 » FPGA如何实现延时?

FPGA如何实现延时?

菜鸟
2013-07-30 15:55:32     悬赏5分
verilog 中实现单片机中的: clk = 0; delay(10); clk = 1; 该如何写好?不太清楚如何设置延时.
分享
关键词: FPGA, 延时  
工程师
2013-07-30 21:00:11
1楼

可以写个计数器,把时间转化到计数的多少,只要等到计数达到了之后再去触发就可以简单的实现延时,还有什么延时,就需要高手回答了

专家
2016-08-17 21:07:37
2楼

可以这写一个延迟数据。

高工
2022-11-13 14:03:57
3楼

延时

专家
2023-01-31 11:06:47
4楼

写个延时函数