首页 » 技术SOS » EDA与制造 » SoC/ASIC » verilog 如何用门元件实现8位寄存器

verilog 如何用门元件实现8位寄存器

菜鸟
2013-11-06 21:36:22
在设计时,遇到如下问题: 当采用行为描述时,可以利用如下代码实现8位的数据寄存器,可是考虑一下,如何用门元件例如与非门之类的元件实现8位数据寄存器呢? 行为级描述代码: module reg8(out_data,in_data,clk,clr); output[7:0] out_data; input[7:0] in_data; input clk,clr; reg[7:0] out_data; always @(posedge clk or posedge clr) begin if(clr) out_data
分享
关键词: 寄存器, 门元件  
院士
2013-11-13 11:36:11
1楼

这个不懂,给你顶上来