首页 » 技术SOS » EDA与制造 » FPGA VGA显示

FPGA VGA显示

菜鸟
2014-07-12 11:47:56     悬赏20分
帮忙看看, 我用VGA显示时,只显示4种颜色,我在网上找的别人的代码试, 也是只显示4中种颜色,不知道为什么? module VGA1(clock50MHz,key,r,g,b,hs,vs,x,y); input clock50MHz; input[1:0] key; output hs,vs; output wire r,g,b; reg hs,vs,clock; reg[2:0] rgb,rgbx,rgby; reg[9:0] hcnt,vcnt; parameter h_Ta=96,h_Tb=40,h_Tc=8,h_Td=640,h_Te=8,h_Tf=8,h_Tg=800; parameter v_Ta=2,v_Tb=25,v_Tc=8,v_Td=480,v_Te=8,v_Tf=2,v_Tg=525; always@(posedge clock50MHz) //2分频,得到25MHz的频率 begin clock
分享
关键词: VGA显示 颜色我用VGA显示时, 只显示4种颜色  
工程师
2014-07-13 21:28:13
1楼

http://forum.eepw.com.cn/thread/257815/1