首页 » 技术SOS » 嵌入式系统 » 请教always @(敏感事件列表)问题

请教always @(敏感事件列表)问题

菜鸟
2014-08-28 09:38:26
//Software Ver: Quartus II 9.1sp2 //EDA Tool: ModelSim ALTERA6.5b //-----使用Gate Level Simulation: tp1,tp2感觉代码一直在执行(见下图), //-----always @(敏感信号列表):这不是只有当信号发生变生时才 //-----执行嘛, 那里写错了啊!!!! always @(clk, rst_n) begin if(!rst_n) tp1_r
问题补充: if(!rst_n) tp1_r
问题补充: f(!rst_n) tp1_r
关键词: always, 敏感事件