首页 » 技术SOS » 嵌入式系统 » 中间件和软件库 » 有关quartusII的编程问题,加急!!!!

有关quartusII的编程问题,加急!!!!

菜鸟
2009-08-03 10:47:00
我编写如下程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity dds is port(frec:in std_logic_vector(23 downto 0); clk:in std_logic; wr:in std_logic; sin_out:out std_logic_vector(7 downto 0) ); end dds; architecture beh of dds is signal phase_adder,frq_reg:std_logic_vector(23 downto 0); signal rom_address,address:std_logic_vector(7 downto 0); signal rom_out:std_logic_vector(7 downto 0); signal s1,s2,a1,a2:std_logic; component dds_rom port(add:in std_logic_vector(7 downto 0); inclock:in std_logic; q:out std_logic_vector(7 downto 0) ); end component; begin data:dds_rom port map(address,clk,rom_out); datain:process(clk) begin if(clk'event and clk='1') then if(wr='0') then frq_reg
分享
关键词: 程序, 编译  
高工
2009-08-03 14:44:58
1楼

楼主,你是不没发完? 写详细点

菜鸟
2009-08-03 21:07:09
2楼

不好意思!未粘贴完整。现已解决了此问题。多谢!

高工
2022-12-19 21:36:16
3楼

写详细点

专家
2022-12-19 22:03:13
4楼

未粘贴完整

高工
2022-12-19 22:13:50
5楼

学习

高工
2022-12-21 21:23:37
6楼

写详细点

专家
2022-12-21 21:24:30
7楼

详细点

高工
2023-02-18 12:43:12
8楼

看不出来