首页 » 技术SOS » EDA与制造 » 其他 » 有懂VHDL语言的吗?

有懂VHDL语言的吗?

助工
2007-09-26 23:05:20
我想要“四选一”电路的VHDL程序 要求用IF 和 CASE 编写。 共两个程序,有谁懂的吗?
关键词: 电路, VHDL  

最佳回复

高工
2007-09-26 23:20:51
1楼

entity sel4_1 is port(input:in std_logic_vector(1 downto 0); clk:in std_logic; a,b,c,d:in std_logic; output:out std_logic ); end entity; architecture bhv of sel4_1 is begin process(clk) begin --该段可用case替换,建议运行时将此行删除 if input="00" then output output output output output null; end case;