首页 » 技术SOS » EDA与制造 » 模块 » 基于DSP-BUILDER的16阶FIR滤波器设计在MODELSIM仿真时碰到问题

基于DSP-BUILDER的16阶FIR滤波器设计在MODELSIM仿真时碰到问题

菜鸟
2010-05-18 10:26:14
用DSP-BUILDER在SIMULINK中生成模块(只加输入和输出的24位ALTBUS,中间无任何器件模块,仅为了测试MODELSIM仿真),然后用SIGNAL COMPILER输出VHDL文件,打开MODELSIM将其编译,然后用VERILOG写了TESTBENCH,但运行前仿真时,输出无信号(为红线U)。求救!!
分享
关键词: MODELSIM, TESTBENCH  
助工
2010-12-26 09:01:38
1楼

这个 问题 很难解答,不知道你写的TESTBENCH是什么样的

专家
2022-10-19 19:19:35
2楼

你写的TESTBENCH是什么样的

高工
2022-10-19 21:40:31
3楼

把测试代码放上来看看

高工
2022-10-21 21:38:42
4楼

testbench呢?

高工
2023-01-10 22:54:42
5楼

不知道你写的TESTBENCH是什么样的

高工
2023-07-10 22:30:24
6楼

不知道你写的TESTBENCH是什么样的