首页 » 技术SOS » EDA与制造 » EDA/IC设计 » FPGA和SDRAM接口,怎么样使用VHDL描述这个接口,要求同步

FPGA和SDRAM接口,怎么样使用VHDL描述这个接口,要求同步

菜鸟
2010-04-01 21:46:20     悬赏5分
尽量精简些,本人初学FPGA,理解比较慢
分享
关键词: eda , 逻辑电路  
高工
2010-08-31 11:30:35
1楼

论坛上有关于FPGA和SDRAM接口的资料,你自己搜一下,应该会有帮助的

菜鸟
2010-09-22 23:07:17
2楼

你知道就会一点吗

菜鸟
2010-09-27 16:03:45
3楼

你看看VHDL语言的书就可以了比如: Entity SDRAM is port( CS: in std_logic; Wr_Rd: in std_logic; ADDR: in std_logic_vector(AddrWidt-1 downto 0); Data: inout std_logic_vector(DataWidt-1 downto 0); ); end SDRAM;

专家
2023-07-07 22:12:09
4楼

自己搜一下

高工
2023-07-07 22:42:15
5楼

看看VHDL语言的书就可以了