首页 » 技术SOS » 嵌入式系统 » 怎样用FPGA产生脉冲波形?

怎样用FPGA产生脉冲波形?

菜鸟
2010-05-18 19:59:43
用VHDL语言描述的基于FPGA的宽度和幅值可调脉冲波形的脉冲发生器。
分享
关键词: 脉冲发生器;VHDL语言, fpga  
菜鸟
2010-07-02 11:36:21
1楼

http://www.pudn.com/downloads166/sourcecode/embed/detail758604.html

菜鸟
2010-07-02 11:54:27
2楼

LIBRARY ieee; USE ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; ENTITY PULSEf IS --脉冲形成器 port(clk4,bj: in std_logic; out4: out std_logic); end PULSEf; ARCHITECTURE MM OF PULSEf IS COMPONENT and PORT(a,b:IN STD_LOGIC; O: OUT STD_LOGIC); END COMPONENT and; COMPONENT nand PORT(a: IN STD_LOGIC; o: OUT STD_LOGIC); END COMPONENT nand; COMPONENT latch PORT( d,ena: IN STD_LOGIC; q1: OUT STD_LOGIC); END COMPONENT latch; SIGNAL g, e: std_logic; begin m1: and port map(a=bj,b=e,o=out4); m2: nand port map(a=g,o=e); m3: latch port map(d=bj,q1=g,ena=clk4); END MM; LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY nand IS --非门 PORT (a: IN std_logic; o: OUT std_logic); END entity nand; ARCHITECTURE one OF nand IS Begin o

助工
2010-12-26 08:58:36
3楼

这貌似是基础的知识

高工
2022-10-21 21:37:50
4楼

应该能找到很多例子

高工
2022-10-21 21:40:20
5楼

应该比较基础了

高工
2023-01-10 22:54:58
6楼

是基础的知识

高工
2023-07-10 22:29:29
7楼

学习