尽量精简些,本人初学FPGA,理解比较慢
1楼
论坛上有关于FPGA和SDRAM接口的资料,你自己搜一下,应该会有帮助的
2楼
你知道就会一点吗
3楼
你看看VHDL语言的书就可以了比如: Entity SDRAM is port( CS: in std_logic; Wr_Rd: in std_logic; ADDR: in std_logic_vector(AddrWidt-1 downto 0); Data: inout std_logic_vector(DataWidt-1 downto 0); ); end SDRAM;
4楼
自己搜一下
5楼
看看VHDL语言的书就可以了
最新人才